Compuertas logicas programadas.
Enviado por leonardo1_2_3 • 25 de Septiembre de 2016 • Apuntes • 1.083 Palabras (5 Páginas) • 206 Visitas
INSTITUTO POLITÉCNICO NACIONAL [pic 1][pic 2]
ESCUELA SUPERIOR DE CÓMPUTO.
FUNDAMENTOS DE DISEÑO DIGITAL
PRACTICA 3: DISPLAY
INTEGRANTES:
LASTRA TORRES ANGEL FRANCISCO
RODRIGUEZ SANCHEZ LEONARDO CARLOS
VILLANUEVA MERCADO CARLOS RAUNEL
GRUPO:2CV2
FECHA DE REVISION: 14/09/2016
INDICE
Diagrama 3
Simulacion 4
Fotografia 16
Codigo 17
Conclusiones 18
Diagrama
Simulación:
Angel Francisco Lastra Torres
Letra A
[pic 3]
Letra F[pic 4]
Letra L[pic 5]
Letra T[pic 6]
Leonardo Carlos Rodríguez Sánchez
Letra L[pic 7]
Letra C[pic 8]
Letra R
[pic 9]
Letra S[pic 10]
Carlos Raunel Villanueva Mercado
Letra C[pic 11]
Letra R
[pic 12]
Letra V[pic 13]
Letra M
[pic 14]
Fotografía
[pic 15]
Código
Practica 3
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity I_D is
port (switch:in std_logic_vector(3 downto 0);
display:out std_logic_vector(6 downto 0));
end I_D;
architecture funcion of I_D is
begin
process (switch)
begin
case switch is
when "0000" => display <= "1110111"; -- A
...